Sytek梭鱼智能_Digilent一级代理    嵌入式开发板    Xilinx官方支持的MCU/SoC IP 验证FPGA开发板-Arty系列
FPGA-Arty
Xilinx-Webinar-Feb-19
7b100e2c-c4f1-4a71-924c-d28f055cafe4_iar_probe-adapter_kit_2
Arty_pmod_600__61869
41528091902_de180a4ea9_o__31868
ARTY-Z7_-_Obl_-_600__70201

Xilinx官方支持的MCU/SoC IP 验证FPGA开发板-Arty系列

Arty A7和Arty S7,是SiFive、ARM等多家开源MCU原生验证平台,Arty Z7是基于Zynq®-7000 SoC 即用型开发平台

Digilent FPGA/SoC 板的 Arty 系列在设计时考虑到了多功能性和灵活性。凭借普遍流行的 Arduino™ 接头和多个 Pmod™ 端口,Arty 将成为您工具箱中适应性最强的 FPGA/SoC 板。

目前Arty系列包含以下产品:

请注意:Arty A7-35T 已停产,请选购Arty A7-100T替代。

Arty A7-100T(基于Artix-7 100T FPGA)

Arty S7-25(基于Spartan-7 XC7S25 FPGA)

Arty S7-50(基于Spartan-7 XC7S50 FPGA)

Arty Z7-10(基于Zynq®-7010 FPGA)

Arty Z7-20(基于Zynq®-7020 FPGA)

 

如果 Arty A7 提供的性能超出您的应用程序所需,那么采用 Spartan-7 FPGA 的价格更实惠的Arty S7可能是更好的选择。如果您正在寻找基于 SoC 的开发板,请考虑采用 Zynq-7000 APSoC的Arty Z7 。


ARTY A7:基于Xilinx Artix-7 FPGA的官方开发板

 

产品描述

Arty A7 是围绕 Xilinx Artix-7 FPGA 系列设计的即用型开发平台。借助 Artix-7 器件,Arty A7 板提供了 Arty 系列中最高的每瓦性能结构、收发器线路速率、DSP 处理和 AMS 集成。借助赛灵思的 MicroBlaze 软处理器内核,您可以创建具有各种外设、存储器和接口的嵌入式应用程序。

赛灵思的 Vivado 设计套件支持 Arty A7,包括免费的 WebPACK 版本。您还可以利用 Vitis 内核开发套件或 Xilinx 软件开发套件开始为 MicroBlaze 处理器进行开发,而无需之前的 FPGA 经验。

Arty A7 有两种变体:Arty A7-35T 采用 XC7A35TICSG324-1L,Arty A7-100T 采用更大的 XC7A100TCSG324-1。

复购客户注意事项:此产品已更改。请参内存部分。

  Arty A7-35T  Arty A7-100T
 FPGA芯片  XC7A35TICSG324-1L  XC7A100TCSG324-1
 逻辑单元  33,280  101,440
 DSP片  90  240
 内存 (Kbits)  1,800  4,860
 GTP 6.6GB/s 收发器  4  8
I/O Slices  250  300

  • FPGA 特征
    • 内部时钟速度超过 450MHz
    • 片上模数转换器 (XADC)
    • 可通过 JTAG 和 Quad-SPI 闪存进行编程
  • 系统特点
    • 256MB DDR3L,16 位总线 @ 667MHz
    • 16MB 四路 SPI 闪存
      • 由于供应链限制,S25FL127S 或 S25FL128S 闪存可能会加载到您的板上。这些部件在功能上并不等效,但通过 Vivado 进行的闪存编程和 QSPI 引导模式不受影响。更多信息可在支持选项卡中的 Arty A7 参考手册中找到。 
    • USB-JTAG 编程电路(需要Micro B USB 电缆 )
    • 由 USB 或任何 7V-15V 电源供电
  • 系统连接
    • 10/100 Mbps 以太网
    • USB-UART 桥接器
  • 交互和感知设备
    • 4 个开关
    • 4 个按钮
    • 1 个重置按钮
    • 4 个 LED
    • 4个RGB LED
  • 扩展连接器
    • 4 个 Pmod 连接器
    • Arduino/chipKIT 屏蔽连接器
    •  
  •  
  • 产品合规性: 
    • HTC:  8471500150
    • ECCN:  EAR99

产品包含:

  • Arty Board Artix-7 FPGA

  • 内含保护泡沫的Digilent纸箱包装

  • 软件支持:

  • Arty A7 可以使用 Digilent 的 Adept 软件进行编程。Digilent Adept 是一种独特而强大的解决方案,可让您与 Digilent 系统板和各种逻辑设备进行通信。在此处了解有关 Adept 的更多信息

    要为您的 Arty A7 创建和修改设计,您可以使用 Xilinx 的 Vivado 设计套件。Vivado 是一款专为综合和分析 HDL 设计而设计的软件。Vivado Design Suite 的免费 WebPACK 版本支持 Arty A7 的两种变体。

    如果您使用的是赛灵思的 MicroBlaze 软处理器内核,您可以使用 Vitis 内核开发套件或赛灵思软件开发套件为您的 Arty A7 创建嵌入式应用程序。

  • 技术文档:

    ARTY S7:基于Xilinx Spartan-7 FPGA开发

产品描述:

Digilent FPGA/SoC 板的 Arty 系列在设计时考虑到了多功能性和灵活性。凭借普遍流行的 Arduino™ 接头和多个 Pmod™ 端口,Arty 将成为您工具箱中适应性最强的 FPGA/SoC 板。

Arty S7 是一款价格实惠、即用型的开发平台,围绕 Xilinx Spartan®-7 FPGA 系列设计。借助 Spartan®-7 设备,Arty S7 板可提供一流的每瓦性能,以及小尺寸封装,以满足最严格的要求。借助赛灵思的 MicroBlaze 软处理器内核,您可以创建具有各种外设、存储器和接口的嵌入式应用程序。

赛灵思 Vivado 设计套件支持 Arty S7,包括免费的 WebPACK 版本。您还可以利用 Vitis 内核开发套件或 Xilinx 软件开发套件开始为 MicroBlaze 处理器进行开发,而无需之前的 FPGA 经验。

Arty S7 有两种变体:Arty S7-25 采用 XC7S25-CSGA324,Arty S7-50 采用更大的 XC7S50-CSGA324。

如果您的应用需要 FPGA 上的收发器线路,则采用 Artix-7 FPGA 的更高性能Arty A7可能是更好的选择。如果您正在寻找基于 SoC 的开发板,请考虑采用 Zynq-7000 APSoC的Arty Z7 。

指南和演示可帮助您快速开始使用 Arty S7。这些可以通过支持材料选项卡找到。

复购客户注意事项:此产品已更改。请参阅功能选项卡的内存部分。

产品特征:

   Arty S7-25  Arty S7-50
 FPGA部分  XC7S25-CSGA324  XC7S50-CSGA324
 1 MSPS 片上 ADC  是  是
 逻辑单元  23,360  52,160
 Slices  3,650  8,150
 Flip-flops  29,200  65,200
 Block RAM (Kbits)  1,620  2,700
 Clock Management Tiles  3  5
 DSP Slices  80  120
  •  
  • FPGA 特性
    • 内部时钟速度超过 450MHz;
    • 片上模数转换器 (XADC)。
    • 可通过 JTAG 和 Quad-SPI 闪存进行编程
  • 系统特点
    • 256 MB DDR3L,16 位总线 @ 650 MHz
    • 128 Mb 四路 SPI 闪存
      • 由于供应链限制,S25FL127S 或 S25FL128S 闪存可能会加载到您的板上。这些部件在功能上并不等效,但通过 Vivado 进行的闪存编程和 QSPI 引导模式不受影响。更多信息可在支持选项卡中的 Arty S7 参考手册中找到。 
    • 100 MHz 外部时钟
    • USB-JTAG 编程电路(需要 Micro B USB 电缆)。
    • 由 USB 或任何 7V-15V 电源供电
  • 系统连接
    • USB-UART 桥接器
  • 交互和感知器件
    • 4 个开关
    • 4 个按钮
    • 1 个板复位按钮
    • 1 个 FPGA 复位按钮
    • 4 个绿色 LED
    • 2个RGB LED
  • 扩展连接器
  • 产品合规性: 
    • HTC:  8471500150
    • ECCN:  5A992.c

包装:

 

  • Arty S7:Spartan-7 开发板。
  • 带定制保护泡沫的 Digilent专用纸盒包装

      Arty S7 可以使用 Digilent 的 Adept 软件进行编程。Digilent Adept 是一种独特而强大的解决方案,可让您与 Digilent 系统板和各种逻辑设备进行通信。在此处了解有关 Adept 的更多信息

     要为您的 Arty S7 创建和修改设计,您可以使用 Xilinx 的 Vivado 设计套件。Vivado 是一款专为综合和分析 HDL 设计而设计的软件。Vivado Design Suite 的免费 WebPACK 版本支持 Arty S7 的两种变体。

     如果您使用的是赛灵思的 MicroBlaze 软处理器内核,您可以使用 Vitis 内核开发套件或赛灵思软件开发套件为您的 Arty S7 创建嵌入式应用程序。

技术资料:

文档


ARTY Z7:基于Xilinx ZYNQ7000 SoC开发板

产品描述:

复购用户须知:此产品的 Arty Z7-10 版本已更改(有器件更改)。更多信息可在 RTL8211F 和 W25Q128JV PCN 文档中找到,可通过支持选项卡获得。

Digilent FPGA/SoC 板的 Arty 系列在设计时考虑到了多功能性和灵活性。凭借普遍流行的 Arduino™ 接头和多个 Pmod™ 端口,Arty 将成为您工具箱中适应性最强的 FPGA/SoC 板。

Arty Z7 是围绕 Xilinx Zynq®-7000 片上系统 (SoC) 系列设计的即用型开发平台。Zynq®-7000 SoC 系列将基于 ARM® 的处理器的软件可编程性与 FPGA 的硬件可编程性集成在一起,支持关键分析和硬件加速,同时将 CPU、DSP、ASSP 和混合信号功能集成在单个设备上。

赛灵思的 Vivado 设计套件支持 Arty Z7,包括免费的 WebPACK 版本。SDSoC™ 开发环境为异构 Zynq® SoC 部署提供了熟悉的嵌入式 C/C++/OpenCL 应用程序开发体验。

Arty Z7 有两种变体:Arty Z7-10 配备 XC7Z010-1CLG400C,Arty Z7-20 配备更大的 XC7Z020-1CLG400C。还有第三种选择,即带有 Xilinx SDSoC 凭证的 Arty-Z7-20,推荐给对视频处理应用感兴趣的用户。

如果您的应用程序不需要 SoC 的处理系统,Arty A7Arty S7可能是更好的选择。

指南和演示可帮助您快速开始使用 Arty Z7。这些可以通过支持材料选项卡找到。

产品特征:

   Arty Z7-10  Arty Z7-20
 FPGA部分  XC7Z010-1CLG400C  XC7Z020-1CLG400C
 逻辑单元  28,000  85,000
 DSP片  80  220
 块 RAM (Mbits)  2.1  4.9

 

  • ZYNQ 处理器
    • 650MHz 双核 Cortex-A9 处理器
    • 具有 8 个 DMA 通道和 4 个高性能 AXI3 从端口的 DDR3 内存控制器
    • 高带宽外围控制器:1G 以太网、USB 2.0、SDIO
    • 低带宽外围控制器:SPI、UART、CAN、I2C
    • 可从 JTAG、Quad-SPI 闪存和 microSD 卡(包括Micro B USB 电缆)进行编程。 
    • 等效于 Artix-7 FPGA 的可编程逻辑
  • 存储
    • 512MB DDR3 16 位总线 @ 1050Mbps
    • 16MB Quad-SPI 闪存,带有工厂编程的 48 位全球唯一 EUI-48/64™ 兼容标识符
      • 由于供应链限制,从 D.0 版本开始,SPI Flash 已被 Winbond W25Q128JV 取代。该部件在功能上与旧版本中使用的部件不等效,但通过 Vivado 进行的闪存编程和 QSPI 引导模式不受影响。更多信息可在“产品变更通知 - 闪存”文档中找到,该文档可在 Arty Z7 资源中心找到,可通过支持选项卡获得。
    • microSD 插槽
  • 电源
    • USB 或由 7V-15V 外部电源供电
  • USB 和以太网
    • 千兆以太网端口
      • 由于过时,Realtek RTL8211E 从版本 D.0 开始已被 Realtek RTL8211F 取代。这些部分在功能上不等效,但以太网端口的功能不受影响。更多信息可在“产品变更通知 - 以太网端口”文档中找到,该文档可在 Arty Z7 资源中心找到,可通过支持选项卡获得。
    • USB-JTAG 编程电路
    • USB-UART 桥接器
    • USB OTG PHY(仅支持主机) 
  • 音频和视频
    • HDMI接收端口(输入)
    • HDMI源端口(输出)
    • 带 3.5 毫米插孔的 PWM 驱动单声道音频输出 
  • 开关、按钮和 LED
    • 4个按钮
    • 2个滑动开关
    • 4 个 LED
    • 2个RGB LED
  • 扩展连接器
    • 两个标准 Pmod 端口
      • 16 总 FPGA I/O
  • Arduino/chipKIT 屏蔽连接器
    • Z7-20 最多 49 个 FPGA 数字 I/O,Z7-10 最多 26 个 FPGA 数字 I/O
    • 6 个单端 0-3.3V 模拟输入到 XADC
    • XADC 的 4 个差分 0-1.0V 模拟输入
包装:

软件支持:

 

要为您的 Arty Z7 创建和修改设计,您可以使用 Xilinx 的 Vivado 设计套件。Vivado 是一款专为综合和分析 HDL 设计而设计的软件。

Xilinx SDSoC 开发环境为异构 Zynq® SoC 部署提供了熟悉的嵌入式 C/C++/OpenCL 应用开发体验。SDSoC 开发环境的优惠券可与 Arty Z7-20 一起购买。此优惠券不包括对 Vivado Design Suite 的访问权限,但 Arty Z7 的两种版本均受 Vivado Design Suite 的免费 WebPACK 版本支持。

文档